AEI

ASIA ELECTRONICS INDUSTRYYOUR WINDOW TO SMART MANUFACTURING

ASML, Imec to Open  New High NA EUV Lithography Lab

Imec and ASML Holding N.V. (ASML) have announced the opening of the High NA EUV Lithography Lab in Veldhoven, the Netherlands. Accordingly, ASML and imec will jointly run the lab.

After a build and integration period of years, the Lab is ready to provide leading-edge logic and memory chip makers. Moreover, the advanced materials and equipment suppliers access to the first prototype High NA EUV scanner. 

Moreover, the opening of the joint ASML-imec High NA EUV Lab represents a milestone in preparing High NA EUV for high-volume manufacturing. Accordingly, this will happen in the 2025–2026 timeframe.

Patterning Ecosystem

By giving leading-edge logic and memory chip manufacturers access to the High NA EUV prototype scanner and surrounding tools, imec and ASML support them in de-risking the technology. At the same time, develop private High NA EUV use cases before the scanners will be operational in their production fabs. Access will also be provided to the broader ecosystem of material and equipment suppliers and to imec’s High NA patterning program.

Readying the 0.55 NA EUV scanner and infrastructure followed intense preparations that started in 2018. In this time span, ASML and ZEISS were able to develop High NA EUV scanner specific solutions related to the source, optics, lens anamorphicity, stitching, reduced depth of focus, edge placement errors and overlay accuracy.

Meanwhile, imec, in tight collaboration with its extended supplier network, prepared the patterning ecosystem. This includes the development of advanced resist and underlayer materials, photomasks, metrology and inspection techniques, (anamorphic) imaging strategies, optical proximity correction (OPC), and integrated patterning and etch techniques. The preparatory work recently resulted in first exposures, showing for the first time ever 10nm dense lines (20nm pitch) printed in Veldhoven on metal oxide resists (MORs) using the 0.55 NA EUV prototype scanner.

Push Towards Ultimate Limits

Imec’s president and CEO Luc Van den hove: “High NA EUV is the next milestone in optical lithography, promising the patterning of metal lines/spaces with 20 nm pitch in one single exposure and enabling next generations of DRAM chips. Thus, this will improve yield and reduce cycle time and even CO2 emissions compared to existing multi-patterning 0.33 NA EUV schemes. It will therefore be a key enabler to push Moore’s Law well into the ångström era.”

In addition, Van den hove said, “For imec and its partners, the High NA EUV Lithography Lab will act as a virtual extension of our 300mm cleanroom in Leuven. (Thus), enabling us to further improve the patterning ecosystem and push the resolution of the High NA EUV towards its ultimate limits.”

04 June 2024