AEI

ASIA ELECTRONICS INDUSTRYYOUR WINDOW TO SMART MANUFACTURING

Imec-ASML Innovative Lab Results in Better High-NA EUV

Research and innovation hub in nanoelectronics imec has presented the patterned structures obtained after exposure with the 0.55NA EUV scanner. Specifically, the breakthrough happened at the joint ASMLimec High NA EUV Lithography Lab in Veldhoven, the Netherlands.

Accordingly, random logic structures down to 9.5nm (19nm pitch), random vias with 30nm center-to-center distance, 2D features at 22nm pitch, and a DRAM specific layout at P32nm were printed after single exposure. Specifically, using materials and baseline processes that were optimized for High NA EUV by imec and its partners in the framework of imec’s Advanced Patterning Program.

9.5nm random logic structure (19nm pitch) after pattern transfer

With these results, imec confirms the readiness of the ecosystem to enable single exposure high-resolution High NA EUV Lithography.

Yields Breakthrough Results

Recently, ASML and imec opened the joint ASML-imec High NA EUV Lithography Lab in Veldhoven, the Netherlands. For that reason, customers can have access to the TWINSCAN EXE:5000 High NA EUV scanner to develop private High NA EUV use cases. Thus, leveraging the customer’s own design rules and layouts.

Random vias with a 30nm center-to-center distance with excellent pattern fidelity and critical dimension uniformity.

Imec has successfully patterned single exposure random logic structures with 9.5nm dense metal lines, corresponding to a 19nm pitch. Hence, achieving sub 20nm tip-to-tip dimensions. 

Random vias with a 30nm center-to-center distance showcased excellent pattern fidelity and critical dimension uniformity. Furthermore, 2D features at a P22nm pitch exhibited outstanding performance, highlighting the potential of High NA Lithography to enable 2D routing.

Beyond logic structures, imec successfully patterned, in a single exposure, designs that integrate the storage node landing pad with the bit line periphery for DRAM. This achievement underscores the potential of High NA technology to replace the need of several mask layers by 1 single exposure.

These breakthrough results follow intensive preparatory work by imec and ASML – in close collaboration with its partners – to ready the patterning ecosystem and metrology for the first generation of High NA EUV Lithography. Prior to the exposures, imec prepared dedicated wafer stacks (including advanced resists, underlayers and photomasks), and transferred High NA EUV baseline processes (such as optical proximity correction (OPC), integrated patterning and etch techniques) to the 0.55NA EUV scanner.

Imec demonstrates an integration of the storage node landing pad with the bit line periphery for DRAM

Validation of Industry Applications

Steven Scheer, senior vice president of compute technologies & systems / compute system scaling at imec said the first High NA-enabled logic and memory patterning in the joint ASML-imec lab is a validation of industry applications. Moreover, Scheer said the results showcase the unique potential for High NA EUV to enable single-print imaging of aggressively-scaled 2D features. Thus, improving design flexibility as well as reducing patterning cost and complexity.

“Looking ahead, we expect to provide valuable insights to our patterning ecosystem partners, supporting them in further maturing High NA EUV specific materials and equipment,” Scheer said.

Meanwhile, Luc Van den hove, president and CEO of imec: “The results confirm the long-predicted resolution capability of High NA EUV lithography, targeting sub 20nm pitch metal layers in one single exposure. High NA EUV will therefore be highly instrumental to continue the dimensional scaling of logic and memory technologies, one of the key pillars to push the roadmaps deep into the ‘angstrom era’. These early demonstrations were only possible thanks to the set-up of the joint ASML-imec lab allowing our partners to accelerate the introduction of High NA lithography into manufacturing.”.

08 August 2024