AEI

ASIA ELECTRONICS INDUSTRYYOUR WINDOW TO SMART MANUFACTURING

Siemens’ Expanded Platform Enhances IC Verification

Siemens Digital Industries Software has expanded the electronic design automation (EDA) early design verification functionalities for its Calibre platform. Therefore, enhancing the physical verification of integrated circuit.

Engineered to help IC design teams and companies get to tapeout faster, these new capabilities can help IC designers shift left their physical and circuit verification tasks. Thus, moving the identification, analysis, and resolution of complex IC and SoC physical verification issues into earlier stages of the verification flow.

Siemens Digital Industries Software has announced a range of expanded EDA early design verification functionalities for Calibre platform for chip physical verification.

Streamlines Design Process

Identification and resolution of issues earlier in the design cycle can help compress the overall verification cycle. In fact, they also provide more time and opportunity to improve final design quality. This provides tuned check support for early-stage analysis, verification, and optimization strategies using qualified signoff requirements. For this reason, Siemens enables

design companies to streamline their design processes, improve designer productivity, and reduce time-to-market.

“Extending technology leadership in the EDA space requires constant improvement driven by a deep understanding of the specific challenges customers face in their daily work,” said Michael Buehler-Garcia, vice president of Product Management for Calibre Design Solutions, Siemens EDA.

The new functionalities of the Calibre platform include the Calibre RealTime Custom and Calibre RealTime Digital software tools. They provide in-design, signoff-quality Calibre DRC for custom, analog/mixed-signal, and digital designs. In addition, the Calibre RealTime interfaces provide direct calls to Calibre analysis engines running foundry-qualified signoff Calibre rule decks.

In effect, helping improve both design speed and quality of results by providing immediate feedback on design rule violations and recommended rule compliance. Calibre RealTime Digital now enables in-design fill with Calibre Yield Enhancer SmartFill. This enables designers to get foundry signoff fill from within their design cockpit, while Calibre RealTime Custom has added the ability to automatically track DRC across multiple regions to enable multiple edits to be fixed, tracked and checked simultaneously. 

Intelligent, Automated Analysis

The Calibre nmDRC-Recon use model in Calibre RealTime Digital provides intelligent, automated analysis of immature and incomplete designs across blocks, macros, and full-chip layouts to methodically find and fix high-impact physical layout earlier in the design and verification flow. Meanwhile, extending the speed and designer debug gains already possible with the nmDRC-Recon use model, Siemens has now added the capability to flexibly “gray-box” out immature cells and blocks, yet still check DRC for interfaces to adjacent blocks or upper-level metal. In addition, gray boxing further accelerates performance and improves designer debug productivity by supressing nuisance DRC, resulting in up to 50 percent faster runtimes compared to nmDRC-Recon alone.

Calibre nmLVS-Recon software provides intelligent, automated analysis of immature and incomplete designs for circuit verfication use models. With Calibre nmLVS-Recon software, a designer can efficiently perform short isolation (SI) to identify circuit errors. Short isolation mode in Calibre nmLVS-Recon does not require changes to design inputs or foundry rule decks, and executes only the short isolation step of Calibre nmLVS. This can speed up LVS execution by up to 30x, allowing designers to complete several iterations in a day whereas, previously, this might be an overnight execution.