AEI

ASIA ELECTRONICS INDUSTRYYOUR WINDOW TO SMART MANUFACTURING

Korea’s HPSP Reinforces R&D on High-Pressure Annealing, Oxidation

HPSP Co., Ltd., a South Korean semiconductor equipment provider, is strengthening its research and development (R&D) programs regarding High-Pressure Annealing (HPA) and High-Pressure Oxidation (HPO). HPSP is set for the upcoming grand opening of its new R&D center in Korea. In relation, it has established new programs and tools with advanced capabilities to extend HPA and HPO technology beyond traditional process regimes. The addition of HPO and New HPA with the various gases builds on High Pressure Hydrogen Annealing (HPHA). In particular, HPHA has become an essential step, especially in advanced nodes.

Specifically, HPHA is a process for improving the reliability and performance of semiconductor devices. It cures defects in semiconductor devices, applicable in various fields. The scale down of semiconductor manufacturing is becoming more and more intensive and the thermal budget is becoming tighter. Thus, the use of conventional annealing equipment or high-temperature annealing equipment in semiconductor annealing process is becoming more and more difficult.

Therefore, HPSP technology, which enables annealing at a lower temperature with high pressure, has become an essential step in the front-end semiconductor manufacturing process, especially in the advanced node. In addition, HPO and HPA with the various gases can improve the quality of many advanced films, which could further enhance the performance of future devices.

Extends JDP with imec

To accelerate the development of New High Pressure applications, HPSP is extending its Joint Development Project (JDP) with imec. The signing ceremony for the new JDP took place on January 10 at imec’s headquarters in Leuven, Belgium. Key executives and officials from HPSP and imec attended the event. “We look forward to the next chapter of exploring the application of HPA in the future semiconductor manufacturing process within imec’s proven and advanced research programs”, said Kim Yong Woon, CEO of HPSP.

HPSP and imec signed a Joint Development Project agreement to strengthen R&D on HPA and HPO at imec headquarters in Leuven, Belgium, on the 10th. Left: Luc Van den hove, President and CEO of imec, and Right: Kim Yong Woon, CEO of HPSP (PRNewsfoto/HPSP)

HPSP is the first and only company to develop and manufacture high-pressure hydrogen-annealing equipment for semiconductor manufacturing. Specifically, it has been conducting joint research with imec since 2015 to explore the key benefits of HPA on various devices. HPA has been successfully applied in the semiconductor manufacturing of imec’s core-partners. Also, it has already demonstrated significant performance improvements in various devices used to manufacture FinFET, GAA, and memory devices. Among them are most advanced DRAM and 3D NAND.

R&D on Effects of HPA and HPO

HPSP will conduct advanced research on the effects of HPA and HPO in various devices such as CFET and 3D Memory. Additionally, it will proactively work with its customers and imec on the applications of HPA and HPO in the next-generation semiconductor manufacturing process. Also, it will expand business opportunities by participating in the development of semiconductor manufacturers’ next-generation processes. At the same time, it will solidify the company’s status as a trustworthy partner to its customers.

HPSP expects to strengthen its reputation as an industry-leading semiconductor equipment provider. Specifically, it will build through collaborative research with its customers and imec, which partners with top-tier semiconductor manufacturers. It aims to reaffirm its technical advantages and competitiveness in the global market. HPSP believes that this will pave the way for chip makers to enhance the performance and reliability of their semiconductor devices and bring cutting-edge products to the market.